fpga图像处理(均值滤波)

Posted 嵌入式-老费

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了fpga图像处理(均值滤波)相关的知识,希望对你有一定的参考价值。

【声明:版权所有,欢迎转载,请勿用于商业用途。 联系信箱:feixiaoxing @163.com】

        均值滤波是图像处理中常用的一种方法。基本原理就是利用周围像素的平均值来代替当前点的像素值。方法主要有两种,一种是[010;101;010]这个算子;还有一种是[111;101;111]这个算子。如果是前面一个算子,那么像素之和直接除以4,即向右移动两位即可;如果是后面一种,则处理8,像素之和向右移动三位即可。我们以后一种为例,滤波后的效果应该是这样的,

 

1、复用sobel算子的流程

        前面我们在使用fpga实现sobel算子的时候,谈到过linebuffer_Wapper的使用。也就是需要它每次吐3个数据出来,这样总共需要9个数据。这次图像滤波使用的也是3*3的算子,所以也需要linebuffer_Wrapper每次吐3个数据。

2、[010;101;010]算子

        采用这个算子,关联的像素分别是正上方、正下方、左侧、右侧四个像素。明白了这个道理,那么相关的verilog代码就知道怎么写了,

reg[7:0] x00;
reg[7:0] x10;
reg[7:0] x20;

reg[7:0] x;
reg[7:0] x_delay;

always@(posedge pclk)
begin
	x00 <&

以上是关于fpga图像处理(均值滤波)的主要内容,如果未能解决你的问题,请参考以下文章

基于FPGA的均值滤波算法的实现

FPGA教程案例45图像案例5——基于FPGA的图像均值滤波verilog实现,通过MATLAB进行辅助验证

中值滤波+SAD匹配跟踪基于verilog编程开发的FPGA中值滤波和SAD模板匹配跟踪

图像去噪均值滤波+中值滤波+高斯低通滤波+多种小波变换图像去噪matlab源码GUI

图像去噪基于matl中值+均值+Lee+Kuan图像滤波含Matlab源码 1179期

图像处理之均值滤波3