fpga图像处理(灰度化)

Posted 嵌入式-老费

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了fpga图像处理(灰度化)相关的知识,希望对你有一定的参考价值。

【声明:版权所有,欢迎转载,请勿用于商业用途。 联系信箱:feixiaoxing @163.com】

        前面我们谈到过灰度图像的处理,不过当时是使用python实现的。这当中也用verilog编写过灰度代码,不过是在testbench里面实现的,如果需要把这个代码运行在fpga上面,那一般都是用YCbCr的方法提取的,公式如下所示

    Y  =  0.183R + 0.614G + 0.062B + 16
    CB = -0.101R - 0.338G + 0.439B + 128
    CR =  0.439R - 0.399G - 0.040B + 128

        但是整个灰度计算的流程也不是一蹴而就的,而是通过几个时钟一步一步去完成的。计算后,如果没有其他问题的化,一般会看到这样的结果,

 

1、第一个时钟,分别计算r、g、b的乘法数值

always@(posedge clk or posedge rst)
begin
	if(rst == 1\'b1)
	begin
		mult_r_for_y_18b <= 18\'d0;
		mult_r_for_cb_18b <= 18\'d0;
		mult_r_for_cr_18b <= 18\'d0;
	end
	else
	begi

以上是关于fpga图像处理(灰度化)的主要内容,如果未能解决你的问题,请参考以下文章

python图像处理(灰度化)

python图像处理(灰度化)

fpga图像处理(图像取反)

fpga图像处理(sobel算子)

fpga图像处理(均值滤波)

fpga图像处理(laplacian算子)