verilog 语言中 大小比较用啥标识符?

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了verilog 语言中 大小比较用啥标识符?相关的知识,希望对你有一定的参考价值。

有没有大于等于?怎么表示?小于等于就是赋值,这有没有影响

应该是刚刚学Verilog语言吧,Verilog语言中是有大于小于等于的,<=在不同的情况下可以表达不同的意思,一般情况下在条件判断的时候即()中是小于等于的意思,在()之外的是非阻塞型赋值语句。例如:
if(i<=10)//这个是小于等于
begin
Q<=4'b1101;//这个的赋值语句,即把4位的二进制数1101赋给Q
end
参考技术A verilog中有大于等于、小于等于,虽然和赋值一样,但是使用不一样,例如:assign sum = (A<B)? 1'b0 : 1'b1;如果A<B,sum 等于0,否则等于1 !

No.3 Verilog 语言要素

3-1 标识符
    任意字母、数字、‘$’和’_‘组成,标识符第一个不能是数字。

3-2 注释
   (1/*可扩展多行*/2//本行结束

3-3 系统函数
    以$字符开始的标识符。
   (1)$display("...") //$display系统函数用新的一行字符显示指定的信息2)$time //返回当前仿真时间

3-4 编译器指令
   (1)‘define和’undef  //类似于C语言2)‘ifdef、‘ifndef、’else、’elseif、‘endif //条件编译3)‘default_nettype //为隐式线网指定线网类型4)’include //用于在代码中包含任何其他文件的内容include "../../asim.v"5)’timescale   //定义时间单位6)‘restall   //讲所有的编译指令重新设置为缺省值7)’line //将行号和文件名复位至指定的值

3-5 值集合
    四种基本值:01、x(未知)、z(高阻态)
    整型数:
   (1)简单十进制:16,32,...
   (2)基数格式:
       4B0_x1 ----4位二进制
       5O32   ----5位八进制
       4D2    ----4位十进制
       4Hx    ----4位x,即xxxx
       *位长不能是表达式,x和z在十六进制中为四位,八进制中为三位。
       *若位宽定义比数值小,则左边的多余位被相应截断,若大则填0补齐。
   (3)实数:十进制表示,科学计数法,5E-4,23_45e3...

3-6 数据类型
   (1)线网类型:结构化元件间的物理连线,缺省值为z。
    wire & tri 
    wor & trior
    wand & triand
    trireg
    tri0 & tri1
    supply0 & supply1
    *未声明的线网缺省设置为1位的wire。
    *线网分为向量线网(vectored)和标量线网(scalared),其中向量线网不允许对其进行局部操作,必须整体操作。
   (2)变量类型:抽象的数据存储单元,只能在initial和always中被赋值,缺省值为x。
    regintegertimereal、realtimme
    reg [3:0] pus; //pus为4位的变量
    reg [0:3] memory[0:63];//memory为一个由64个四位reg变量组成的数组(存储器)
    integer: 定义整型变量
    integer run_state[0:15][0:15] //16x16的整型数组3)命名事件:event  ...

3-7 参数
    参数是一个常量。
    全局参数:parameter
    局部参数:localparam
    eg: parameter N = 8;

 

以上是关于verilog 语言中 大小比较用啥标识符?的主要内容,如果未能解决你的问题,请参考以下文章

No.3 Verilog 语言要素

RTL基本知识:转义名的前世今生

Verilog语言注意事项

Verilog学习笔记基本语法篇········ 编译预处理

python语言合法的变量命名

Verilog HDL程序设计——基本要素