fpga图像处理(对比度增强)

Posted 嵌入式-老费

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了fpga图像处理(对比度增强)相关的知识,希望对你有一定的参考价值。

【声明:版权所有,欢迎转载,请勿用于商业用途。 联系信箱:feixiaoxing @163.com】

        所谓的对比度增强,其实就是对像素数值进行重新映射,明暗之间的区别要拉大。这里面典型的增强方法有指数变换和gamma变换。如果是用cpu写代码,那么可以直接用函数来进行计算。但是如果用fpga来处理,就不能这么处理,一般都是用映射表的方式来解决的。

        映射表,其实就是提前把数值计算好,等到遍历到对应的数值时,直接映射为关联的像素值即可。下图就是gamma映射后的效果,

1、指数变换和gamma变换的实质

        不管是指数变化,还是gamma变换,本质都是通过数学公式,将之前的数值映射为新的数值。因为fpga上面不能做指数运算,所以不管是哪一种方法,一般来说,都是提前把数据计算好,最后数据映射上去即可。比如指数变化,

always@(*) // constrast
begin
	case(ycbcr_y)
	8\'h00 : Post_Data = 8\'h00; 
	8\'h01 : Post_Data = 8\'h00; 
	8\'h02 : Post_Data = 8\'h00; 
	8\'h03 : Post_Data = 8\'h00; 
	8\'h04 : Post_Data = 8\'h00; 
	8\'h05 : Post_Data &

以上是关于fpga图像处理(对比度增强)的主要内容,如果未能解决你的问题,请参考以下文章

基于FPGA的图像增强系统的verilog开发(3000+字)

python使用openCV图像加载(转化为灰度图像)使用equalizeHist算法增强灰度图像对比度可视化对比度增强之后的图像

OpenCV C++(四)----对比度增强

使用邻域对图像进行对比度增强

python使用OpenCV加载彩色图像并把图像转化为YUV格式的彩色图使用equalizeHist算法增强彩色图像的对比度可视化对比度增强之后的彩色图像

图像增强基于matlab萤火虫算法图像对比度增强含Matlab源码 2142期