打开 .vcd 文件时出错。没有相应的文件和目录

Posted

技术标签:

【中文标题】打开 .vcd 文件时出错。没有相应的文件和目录【英文标题】:Error opening .vcd file. No such file or directory 【发布时间】:2021-05-04 14:12:04 【问题描述】:

我的 Verilog 代码存储在 C:\FA。共有三个文件:

FA.v, fa.vvp, TM_FA.v

我按照书上的步骤进行操作。

    iverilog -o fa.vvp vvp fa.vvp 完成 getwave fa.vcd &

当我用getwave fa.vcd &模拟它,然后它显示:

Error opening  .vcd file 'fa.vcd'.
Why: No such file or directory

我第一次使用 Icarus 和 GTKwave,然后我不知道如何修复它。

【问题讨论】:

【参考方案1】:

您需要在 Verilog 测试平台中添加代码,以明确告诉iverilog 创建 VCD 文件。 The iverilog documentation states:

// Do this in your test bench

initial
 begin
    $dumpfile("test.vcd");
    $dumpvars(0,test);
 end

【讨论】:

以上是关于打开 .vcd 文件时出错。没有相应的文件和目录的主要内容,如果未能解决你的问题,请参考以下文章

在 Windows 中编译 ZBar 示例时出错:无法打开包含文件:'Magick++.h':没有这样的文件或目录

加载共享库时出错,无法打开共享对象文件:没有这样的文件或目录(hiredis)

加载共享库时出错:libgmock.so:无法打开共享对象文件:没有这样的文件或目录

数据库引擎打不开文件'(未知的)'。 它已经被别的用户以独占方式打开,或没有查看数据的权限。

加载共享库时出错:libgfortran.so.1:无法打开共享对象文件:没有这样的文件或目录

psql:加载共享库时出错:libpq.so.5:无法打开共享对象文件:没有这样的文件或目录