PROTUSE 仿真STM32按键控制LED,按键不起作用

Posted

tags:

篇首语:本文由小常识网(cha138.com)小编为大家整理,主要介绍了PROTUSE 仿真STM32按键控制LED,按键不起作用相关的知识,希望对你有一定的参考价值。

用PROTUSE仿真STM32按键控制LED亮灭,一运行LED就开始闪烁,按键完全不起作用,应该是K1 = GPIO_ReadInputDataBit(GPIOA, GPIO_Pin_4);读出来的值总是为0,但是MDK里面仿真看K1 的值没有问题的。

我觉得你可以从下面几点入手进行排查。

    仿真查看按键不按下时,是否会进你的if语句。如果还是会进入,就用万用表量一下此时这个io口的电平。如果不进入,继续排查。

    当按键按下时,是否会进入你的if,如果不能,那么同上,用万用表量一下io口的电平。

    按道理讲,应该不会有问题的。除非硬件焊接有问题。

    建议把K1定义拿出去,和temp放在一起,当然应该不会有太大影响。

    最后一点,看一下优化等级,改成O0试试。

追问

protuse仿真STM32只能看到编译好的汇编程序,不太清楚如何查看if语句,按道理硬件没有问题,程序也应该没有问题,但是结合起来结果就是不对。

参考技术A 我也遇到了这个问题,不知道怎么解决。不过A0脚是可以读到高电平的 参考技术B 暴雪纷飞的时节,街上几乎没有一个人影,冷冷清清,只有寒风在肆虐。 黎明前夕,万籁俱静,寒冬中的整个世界仿佛换了一样,不再喧嚣,不再热闹。

  几片枯叶在风中摇曳,残血树下一座铭碑,绿藤环绕,古老模糊的字迹,如这树木的年龄,似有几万年之久。如此灵性之木,得日月之光,经岁月之练,已不再是常人所能理解。万物有灵,皆有根性,人若升仙,草木亦然。

铭碑上的字突然亮了,耀眼之光一瞬而过,虽不易察觉,但敏感如蝙蝠之物,还是害怕的飞了起来。曙光初现,十里寒雪,诡异静谧,无人知晓那一瞬间发生了什么。
参考技术C 不到的在干嘛?好声音好好好好好好好好好好你们去唱歌我马上睡觉哈我马上睡觉哈乖

利用Proteus仿真STM32实现按键控制LED灯设计

1. 前言

Proteus是英国著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是世界上唯一将电路仿真软件、PCB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC11、PIC10/12/16/18/24/30/DSPIC33、AVR、ARM、8086和MSP430等,2010年又增加了Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MATLAB等多种编译器。

这篇文章介绍Proteus的下载,安装,建立工程,完成仿真运行。

2. Proteus的下载安装

下载地址: https://pan.baidu.com/s/1jhveG9w1Hs6nL4IuZHTtlw 提取码: vswi

这是 Proteus 8.9 SP2 仿真软件安装包,软件已经注册,安装即可使用。内部带了汉化包,软件安装后将汉化安装包拷贝到软件的安装目录下覆盖即可。

将软件包下载下来之后,双击Proteus 8.9 SP2 Pro.exe然后按照提示点击下一步安装即可,中途的选项都可以选择默认。软件安装完毕后,如果想要汉化,将软件包里汉化补丁的目录下的文件夹拷贝到Proteus安装目录下覆盖即可。

3. Proteus仿真STM32程序

3.1 运行软件

软件安装后,会在桌面创建快捷方式,Proteus软件需要使用管理员身份打开运行,否则会导致元器件库找不到报错。为了方便每次启动都是管理员身份,可以选择软件图标点击鼠标右键,设置管理员身份运行。

3.2 新建工程

设置工程名称和保存路径点击下一步。

我这里是仿真STM32程序,这里就选择STM32的芯片。

创建完成之后工程的样子。

切换到原理图绘制页面,接着就可以进行原理图设计了。

3.3 keil编写程序

这里要做的实验是,按键按下控制LED灯的亮灭,并且做一个闪光灯程序,先使用keil编写一个例子程序。

硬件连线:

按键使用PA1,按下为低电平,松开为高电平。

2盏LED灯分别连接在PB6和PB7口上。

(1)按键的初始化

#include "key.h"
/*
函数功能:按键初始化
硬件连接:PA1
特性: 按下为低电平---没按下高电平
*/
void KEY_Init(void)

    //开时钟
    RCC->APB2ENR|=1<<2;
    //配置模式
    GPIOA->CRL&=0xFFFFFF0F;
    GPIOA->CRL|=0x00000080;
    //上拉
    GPIOA->ODR|=1<<1;



/*
函数功能:函数扫描函数
函数参数: mode=1表示使用连续模式  mode=0使用单击模式
返回值:  2 3 4 5 表示具体的按钮   0表示没有按下
*/
u8 KEY_Scan(u8 mode)

   static u8 flag=1; //记录上一次按下的状态 
   if(mode)flag=1;
   if(flag&&(KEY_S3==0))
   
       flag=0;
       delay_ms(20);
       if(KEY_S3==0)return 3;
   
   else if(KEY_S3)
   
       flag=1; 
   
   return 0;

(2)主函数实现代码

#include "stm32f10x.h"
#include "led.h"
#include "delay.h"
#include "key.h"

int main()

   u8 key_val;
   u32 time=0;
   LED_Init();
   BEEP_Init();
   KEY_Init();
   while(1)
   
      key_val=KEY_Scan(0); //PA1
      if(key_val)
      
         BEEP=!BEEP;
         LED1=!LED1;   //PB6
      
      delay_ms(5);
      
      time++;
      if(time>=10)
      
          time=0;
          LED2=!LED2; //PB7
      
   

(3)编译生成hex文件

HEX文件生成后,可以导入到proteus里仿真运行

3.4 设计原理图

(1)原理图缩放

把鼠标光标放在元器件上,滚动鼠标滚轮可以放大缩小元器件。

(2)添加LED灯

点击这个P,添加元器件。

(3)选择LED灯

输入元器件名称按下回车搜索,选择LED。

(4)放置LED灯

点击空白处,点击鼠标右键,放置元器件。如果需要多盏LED灯,可以放置多个。

如果元器件的位置需要拖动重新摆放,可以点击左边的黑色箭头,然后鼠标放在元器件上按下鼠标就可以拖动位置。或者选中元器件,点击鼠标右键,选择移动元器件。

(5)LED连线设置属性

LED灯默认添加进来的位置是竖着的,如果设计不好连线,可以将鼠标光标放在LED元器件上,再点击鼠标右键,弹出对话框,改变属性方向。

鼠标光标放在接线的口上就可以连线,LED灯接在PB6,PB7,这里将线连接起来。

(6)添加电阻

元器件放置好之后,点击电阻设置阻值为1K,电阻阻值默认为10K。

设置好后的效果。

(7)添加电源

再添加一个电源,完善电路。

鼠标光标放在空白处,点击鼠标右键,选择终端-POWER。

添加后与电阻的另一边连接起来。

(8)添加按键

因为要实现,按键按下控制LED灯,需要添加一个按键元器件。

点击元器件模式,切换到元器件模式下,点击P,输入BUTTON搜索。

按键是接在PA1上的,放置好按键再设置一个电源。

3.5 开始仿真

(1)设置hex文件

双击单片机,弹出对话框,设置HEX文件,晶振。HEX文件就是前面keil生成的。

(2)配置供电网

在菜单栏里选择设计—配置供电网。

(3)点击仿真

点击左下角的箭头开始仿真。

成功运行后,可以看到LED灯已经在闪烁了。

鼠标可以点击按键,可以控制LED灯的亮灭。

到此,仿真就完成了。

3.6 常见的元器件名称

51单片机		AT89C52
按键			BUTTON
晶振			CRYSTAL
发光二极管		LED
蜂鸣器(无源)	SOUNDER
蜂鸣器(有源)	BUZZER
数码管			SEG(xSEG-xxx)
排阻			RESPACK
Analog ICs 模拟IC
CMOS 4000 series CMOS 4000系列
Data Converters 数据转换器
Diodes 二极管
Electromechanical 机电设备(只有电机模型)
Inductors 电感
Laplace Primitives Laplace变换器
Memory ICs 存储器IC
Microprocessor ICs 微处理器IC
Miscellaneous 杂类(只有电灯和光敏电阻组成的设备)
Modelling Primitives 模型基元
Operational Amplifiers 运算放大器
Optoelectronics 光电子器件
Resistors 电阻
Simulator Primitives 仿真基元
Switches & Relays 开关和继电器
Transistors 三极管 

常用的一些外设模块:
DHT11   温湿度传感器
DS18B20 温度传感器
SR04    超声波测距模块
SSD1306  0.96寸OLED

以上是关于PROTUSE 仿真STM32按键控制LED,按键不起作用的主要内容,如果未能解决你的问题,请参考以下文章

利用Proteus仿真STM32实现按键控制LED灯设计

stm32外部按键中断无法退出中断问题

请教一个stm32程序:我写了一个按键控制LED灯翻转,调试成功的程序如下:

stm32用按键控制led灯亮灭时为什么只是变暗了

stm32按键控制的蜂鸣器为啥不响?帮小白看看代码

STM32按键翻转LED(HAL库)